Go_原子操作和锁

原子操作和锁

本文先探究并发问题,再探究锁和原子操作解决问题的方式,最后进行对比。

并发问题

首先,我们看一下程序

num++

该程序表面看上去一步就可以运行完成,但是实际上,在计算机中是分三步运行的,如下图

image-20230929173947408

该程序分为三个步骤

①读取当前值:首先,程序需要读取变量 i 的当前值。过程为,将从内存中加载 'i’的值到CPU寄存器中

② 增加值:读取当前值,将存在寄存器中的值加1,而非在 i 的内存地址操作

③ 写回新值:将新的值写回到变量 i 所在的内存地址

假设 i 的初始值为0,调用两个协程运行 i++,理想情况下,i会变成2

运行过程中,会有六步操作,操作的不同顺序也影响着最后的结果

情况1

image-20230929173710410

两个协程依次运行,结果得到的是 2,完美运行

情况2

image-20230929173822254

当两个协程运行的顺序按上图运行,得到的结果是1,结果明显错误。

这就是并发过程中引起的错误。当多个goroutine在没有相互同步的情况下,访问某个共享的资源,同时对该资源进行读写时,就会处于相互竞争的状态,这就是并发中的资源竞争。

针对以上问题,有两种解决方案,一种是,另一种是原子操作

生活中的例子

想象一个场景,只有一间厕所,但有两个人都想上厕所,显然,厕所一个时刻只运行一个人使用。

第一个人使用前先将门锁上,以防外面的人进来,结束后,再把门锁打开,然后第二个人在锁门,上厕所,开锁。

程序改进

针对以上num++程序,我们可以类比操作。

第一个协程操作前上锁,然后进行num++操作,运行结束后,解锁。

接着第二个程序才能获取锁,再运行num++,运行结束后解锁。

go代码如下

var mu sync.Mutexfunc mutexAdd(){mu.lock()num++mu.unlock()
}

资源竞争

当多个协程进行资源竞争的时候,在一个协程获取到锁的时候,其余的协程进入阻塞态,等待资源释放。当该协程运行结束后,调度系统将阻塞队列其中的一个协程拿出来去获取锁,这其中涉及到切换上下文操作,需要消耗一定资源时间。

原子操作

原子操作,即不会被打断的操作。

原子操作是不可分割的,在执行完毕之前不会被其他任务或事物中断。

image-20230929173947408

如上图,i++可以分为三个操作,这三个操作均为原子操作。原子操作必须执行完毕后,才能执行下一个操作。

有没有一种可能,把这三个原子操作合成为一个原子操作?

可以的,在go的标准库atomic中提供了一系列原子操作,其中有atomic.AddInt64(&num,1),可以看作将num++中的三步合并成了一步原子操作。

当num++变成一步原子操作后,便不会出现上述提出的并发问题。因为原子操作是必须一步完成的,其中的过程不能和其他程序交错进行。

go代码如下

func atomicAdd(){atomic.AddInt64(&num,1)
}

运行对比

单个协程

单个协程在原子操作和加锁操作下的对比

image-20230929181028320

经过对比,可以发现加锁操作步骤多,耗损资源多,运行效率没有原子操作高

多个协程

假设有两个协程同时运行,协程G1先运行,协程G2等待。以下分别是原子操作和加锁操作的区别

原子操作,当协程G1运行结束后,G2操作

image-20230929181058855

  1. 在g1运行的时候,g2循环等待
  2. g1运行结束,g2开始执行程序
  3. 结束

加锁操作,当协程G1运行结束后,G2操作

image-20230929181125025

  1. 在g1运行的时候,g2获取锁失败,进入阻塞队列
  2. g1解锁后,调度系统调度协程g2,g2获取锁,进入临界区,切换上下文环境
  3. 执行程序
  4. 程序执行结束后,解锁,退出临界区

优势分析

原子操作优势

原子操作适用于对共享变量执行非常简单的操作,如递增、递减、设置标志位等。它们的优势在于性能高,在硬件级别上执行,无需上下文切换或内核调度

原子操作劣势

原子操作无法处理复杂的操作序列,也不能实现多个共享变量之间的复合操作。它们通常不能替代锁,特别是在需要执行多个步骤或操作复杂数据结构时。

锁操作优势

锁适用于需要对多个共享变量执行复杂操作的场景,允许实现复杂的并发算法,并确保一致性。

锁操作劣势

而锁操作伴随着上下文切换和内核调度,这会导致一些性能开销。如果不正常使用,还容易导致死锁和竞态条件

针对以上自增操作,显然,原子操作更占优势。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.xdnf.cn/news/145982.html

如若内容造成侵权/违法违规/事实不符,请联系一条长河网进行投诉反馈,一经查实,立即删除!

相关文章

PHP 二手物品交易网站系统mysql数据库web结构apache计算机软件工程网页wamp

一、源码特点 PHP 二手物品交易网站系统是一套完善的web设计系统,对理解php编程开发语言有帮助,系统具有完整的源代码和数据库,系统主要采用B/S模式开发。 代码下载 https://download.csdn.net/download/qq_41221322/88385559 二、功能介…

分析各种表达式求值过程

目录 算术运算与赋值 编译器常用的两种优化方案 常量传播 常量折叠 加法 Debug编译选项组下编译后的汇编代码分析 Release开启02执行效率优先 减法 Release版下优化和加法一致,不再赘述 乘法 除法 算术结果溢出 自增和自减 关系运算与逻辑运算 JCC指…

What is an HTTP Flood DDoS attack?

HTTP 洪水攻击是一种针对 Web 和应用程序服务器的第 7 层分布式拒绝服务 (DDoS) 攻击。HTTP 洪水攻击通过使用 HTTP GET 或 HTTP POST 请求执行 DDoS 攻击。这些请求是有效的,并且针对可用资源,因此很难防范 HTTP 洪水攻击。 匿名…

你熟悉Docker吗?

你熟悉Docker吗? 文章目录 你熟悉Docker吗?快速入门Docker安装1.卸载旧版2.配置Docker的yum库3.安装Docker4.启动和校验5.配置镜像加速5.1.注册阿里云账号5.2.开通镜像服务5.3.配置镜像加速 部署MySQL镜像和容器命令解读 Docker基础常用命令数据卷数据卷…

在SpringBoot中利用Redis实现互斥锁

在SpringBoot中利用Redis实现互斥锁 基本知识 前提条件,有一个能够在Springboot中使用Redis的项目,或者能够直接开也行 为什么要实现互斥锁:当我们利用Redis存储热点数据时,突然就过期失效或者被删除了,导致大量请求同…

Vue以及整合ElementUI

初始化vue项目 #vue 脚手架使用 webpack 模板初始化一个 appname 项目 vue init webpack appname启动 vue 项目 #项目的 package.json 中有 scripts,代表我们能运行的命令 npm start npm run dev #启动项目 npm run build:将项目打包项目结构 运行流程…

顺序表(7.24)

1.线性表 线性表 ( linear list ) 是 n 个具有相同特性的数据元素的有限序列。 线性表是一种在实际中广泛使用的数据结构,常见的线性表:顺序表、链表、栈、队列、字符串... 线性表在逻辑上是线性结构,也就说是连续的一…

ssm+vue的4S店预约保养管理系统(有报告)。Javaee项目,ssm vue前后端分离项目。

演示视频: ssmvue的4S店预约保养管理系统(有报告)。Javaee项目,ssm vue前后端分离项目。 项目介绍: 采用M(model)V(view)C(controller)三层体系结…

aarch64 平台 musl gcc 工具链手动编译方法

目标 手动编译一个 aarch64 平台的 musl gcc 工具链 musl libc 与 glibc、uclibc 等,都是 标准C 库, musl libc 是基于系统调用之上的 标准C 库,也就是用户态的 标准C 库。 musl libc 轻量、开源、免费,是一些 操作系统的选择,当前 Lite-OS 与 RT-Smart 等均采用自制的 mu…

【网络原理】初始网络,了解概念

文章目录 1. 网络通信1.1 局域网LAN1.2 广域网WAN 2. 基础概念2.1 IP2.2 端口号 3. 认识协议4. 五元组5. 协议分层5.1 分层的作用5.2 OSI七层模型5.3 TCP/IP五层(四层)模型 6. 封装和分用 1. 网络通信 计算机与计算机之间是互相独立,是独立模…

配置pytorchGPU虚拟环境-python3.7

cuda版本的pytorch包下载地址戳这里 winR->输入cmd->输nvcc -V回车 cuda 11.0 输入以下命令来查找 CUDA 的安装路径: Windows: where nvcc 输入以下命令来查找 cuDNN 的版本号: Windows: where cudnn* cuDNN 8.0 本机安装的是cuda 11.0&…

Python海洋专题五之水深地形图海岸填充

Python海洋专题五之水深地形图海岸填充 海洋与大气科学 上期读取nc水深文件,并出图 但是存在一些不完美,本期修饰 本期内容 障眼法:把大于零的数据填充为陆地的灰色; 把等于零的数据画等深线为陆地和海洋的分界线!…

YOLOv8改进算法之添加CA注意力机制

1. CA注意力机制 CA(Coordinate Attention)注意力机制是一种用于加强深度学习模型对输入数据的空间结构理解的注意力机制。CA 注意力机制的核心思想是引入坐标信息,以便模型可以更好地理解不同位置之间的关系。如下图: 1. 输入特…

C语言数据结构之排序整合与比较(冒泡,选择,插入,希尔,堆排序,快排及改良,归并排序,计数排序)

前言:排序作为数据结构中的一个重要模块,重要性不言而寓,我们的讲法为下理论掌握大致的算法结构,再上代码及代码讲解,助你一臂之力。 一,冒泡 冒泡排序应该是大家学习以来第一个认识的排序方法&#xff0…

【小沐学前端】Node.js实现UDP和Protobuf 通信(protobuf.js)

文章目录 1、简介1.1 node1.2 Protobuf 2、下载和安装2.1 node2.2 Protobuf 3、node 代码示例3.1 HTTP3.2 UDP单播3.4 UDP广播 4、Protobuf 代码示例4.1 例子:awesome.proto 结语 1、简介 1.1 node Node.js 是一个开源的、跨平台的 JavaScript 运行时环境。 Node.js 是一个开源…

案例突破——再探策略模式

再探设计模式 一、背景介绍二、 思路方案三、过程1. 策略模式基本概念2. 策略模式类图3. 策略模式基本代码策略类抽象策略类Context类客户端 4. 策略模式还可以进行优化的地方5. 对策略模式的优化(配置文件反射) 四、总结五、升华 一、背景介绍 在做项目…

FPGA设计时序约束二、输入延时与输出延时

目录 一、背景 二、set_input_delay 2.1 set_input_delay含义 2.2 set_input_delay参数说明 2.3 使用样例 三、set_output_delay 3.1 set_output_delay含义 3.2 set_output_delay参数说明 3.3 使用样例 四、样例工程 4.1 工程代码 4.2 时序报告 五、参考资料 一、…

敏感性分析一览

敏感性分析 SobolMorrisFourier Amplitude Sensitivity Test (FAST)Random Balance Designs - Fourier Amplitude Sensitivity Test (RBD-FAST)Delta Moment-Independent MeasureDerivative-based Global Sensitivity Measure (DGSM)Fractional Factorial Sensitivity Analysis…

生产者、消费者问题

线程六个状态: public enum State {/*** 新生*/NEW,/*** 运行*/RUNNABLE,/***阻塞*/BLOCKED,/*** 等待*/WAITING,/*** 超时等待*/TIMED_WAITING,/**死亡**/TERMINATED;} synchronized和lock的区别 1、synchronized是关键字,lock是类 2、synchronized全自…

电压提前/滞后电路 —— 电赛综测备赛

电容可以让交流波形提前或滞后,不过很多人不知道用法 电压滞后电路 我们从中间输出给示波器 波形(红色) 电容越大电阻越大,波形越滞后 电压提前电路 波形(红色)提前 电容越小电阻越小,波形…