树莓派点亮FPGA小灯

树莓派点亮FPGA小灯

引言:

​ 本次实验的目的是通过树莓派和FPGA之间的串口通信,控制FPGA开发板上的小灯。实验将展示如何使用树莓派发送特定的字符信号,通过串口传输至FPGA,并在FPGA上实现逻辑解析,以点亮指定的小灯。这不仅验证了树莓派与FPGA之间的通信能力,也展示了数字逻辑设计在实际应用中的灵活性。

希望你在本次学习过后,能够有一定的收获!!!

推荐歌曲—雨爱-杨丞琳

​ 冲啊!!!! ٩(͡๏̯͡๏)۶ ٩(͡๏̯͡๏)۶ ٩(͡๏̯͡๏)۶

文章目录

  • 树莓派点亮FPGA小灯
    • 一、任务介绍
    • 二、树莓派介绍
      • 一、树莓派
      • 二、树莓派图片
    • 三、实验流程
      • 1、树莓派设置
      • 2、FPGA相关
    • 四、实验效果
    • 五、实验总结

一、任务介绍

  1. 了解树莓派的相关内容
  2. 实现树莓派与FPGA开发板之间的通信
  3. 使用树莓派点亮FPGA开发板上面的小灯

二、树莓派介绍

一、树莓派

a.简介:树莓派(Raspbreey Pi,RPi),慈善组织“Raspberry Pi 基金会”开发,为学生计算机编程教育而设计,基于Linux系统的单片机系统。随Windows 10 IoT的发布,有可以用运行Windows的树莓派。只有信用卡大小,却有视频、音频等功能。

c. 硬件:是一款基于ARM的微型电脑主板

内存硬盘:SD/MicroSD卡

四类接口:有1/2/4个USB接口;

一个10/100 以太网接口(A型没有网口);

视频模拟信号的电视输出接口;

和HDMI高清视频输出接口。

可连接键盘、鼠标和网线.,具备所有PC的基本功能只需接通电视机和键盘,就能执行如电子表格、文字处理、玩游戏、播放高清视频等诸多功能。Raspberry Pi B款只提供电脑板,无内存、电源、键盘、机箱或连线。

d.语言:树莓派基金会提供了基于ARM的Debian和Arch Linux的发行版供大众下载。还计划提供支持Python作为主要编程语言,支持Java、BBC BASIC (通过 RISC OS 映像或者Linux的"Brandy Basic"克隆)、C 和Perl等编程语言。

e. 发展以及应用(亚博官网摘)

img

二、树莓派图片

a.板子图片(亚博官网摘)

img

b.树莓派3b与树莓派3b+参数对比:

img

b.树莓派3b+引脚图:

img

三、实验流程

1、树莓派设置

  1. 首先确认波特率设置正确,是否为115200。(当然,其他波特率也可以的,不过代码得改一下
  2. 向microSD卡中烧录树莓派官方的镜像后,先不要急着把它插入树莓派中。
  3. 在电脑上打开microSD卡,按如下方式修改config.txt和cmdline.txt这两个文件,在config.txt文件中附加
dtoverlay=pi3-miniuart-bt

在电脑里直接打开比在树莓派里打开要好操作,不用获取权限
然后把cmdline.txt中的内容换成

dwc_otg.lpm_enable=0 console=tty1 console=serial0,115200 root=/dev/mmcblk0p2 rootfstype=ext4 elevator=deadline fsck.repair=yes rootwait

修改完成之后,我们打开树莓派

进入到树莓派的命令行当中进行如下操作
在命令行中输入如下命令

sudo chmod 777 /dev/ttyAMA0
这里要先获取权限,否则后面代码会报错

接着进入python3中,输入如下代码,这里一定一定要注意,不要安装serial库!!!因为这个是安装好的,再安装会提示serial中无Serial!

树莓派发送数据代码

import serial
import time
ser = serial.Serial('/dev/ttyAMA0',115200)while ser.isOpen() :ser.write(b"A") # 点亮第一个小灯time.sleep(1)ser.write(b"B") # 点亮第二个小灯time.sleep(1)

2、FPGA相关

led.v

module led (input  clk,input  rst_n,input  tx,output reg[7:0] led
);wire [7:0] dout;wire dout_vld;uart_rx uart_rx_inst (.clk (clk),.rst_n (rst_n),.din    (tx),.dout(dout),.dout_vld    (dout_vld));always @(posedge clk or negedge rst_n) beginif(!rst_n) beginled <= 8'b0;endelse if (dout_vld) beginif (dout == 8'h41) beginled <= 8'b0000_0001;endelse if (dout == 8'h42) beginled <= 8'b0000_0010;endelse if (dout == 8'h43) beginled <= 8'b0000_0100;endelse if (dout == 8'h44) beginled <= 8'b0000_1000;endelse if (dout == 8'h45) beginled <= 8'b0001_0000;endelse if (dout == 8'h46) beginled <= 8'b0010_0000;endelse if (dout == 8'h47) beginled <= 8'b0100_0000;endelse beginled <= led;endendend    endmodule

uart_rx.v

module uart_rx (input clk,input rst_n,input din,  // pc 发送给 FPGA 的数据output reg[7:0] dout,  // 发送给 tx 让他串行的传输出去output reg dout_vld    // 当这一次 8bit 接收完成 再传递给tx
);parameter BAUD = 5207;  // 使用波特率 115200 发送1 bit 需要的时钟周期reg din_r0; // 同步到时钟上升沿 reg din_r1; // 打拍 延时一个时钟周期 wire nedge; // 下降沿reg flag;  // 计数器计数标志 下降沿到来之后开始计数 传输数据完成停止计数reg [8:0] data; // 寄存 数据always @(posedge clk or negedge rst_n) beginif (!rst_n) begin din_r0<= 1; din_r1<=1;    endelse begin din_r0<= din; din_r1<= din_r0;   endendassign nedge = din_r1 && !din_r0; // 下降沿//  计数一个bit发送需要的时钟周期reg [20:0] cnt_bsp; wire add_cnt_bsp; wire end_cnt_bsp; always @(posedge clk or negedge rst_n) beginif (!rst_n)     cnt_bsp <= 0;else if (add_cnt_bsp)if (end_cnt_bsp)    cnt_bsp <= 0;else                cnt_bsp <= cnt_bsp + 1; else cnt_bsp <= cnt_bsp;endassign add_cnt_bsp = flag;assign end_cnt_bsp = add_cnt_bsp && (cnt_bsp == BAUD - 1);// 计数当前到了哪一 bitreg [3:0] cnt_bit;          wire add_cnt_bit;wire end_cnt_bit;always @(posedge clk or negedge rst_n)beginif (!rst_n)     cnt_bit <= 0;else if (add_cnt_bit)if (end_cnt_bit)    cnt_bit <= 0;else                cnt_bit <= cnt_bit + 1;else cnt_bit <= cnt_bit;endassign add_cnt_bit = end_cnt_bsp;assign end_cnt_bit = add_cnt_bit && (cnt_bit == 8); // 起始位 1bit 数据位 8bit always @(posedge clk or negedge rst_n) beginif (!rst_n) flag <= 0;else if(nedge) flag <= 1;else if(end_cnt_bit) flag <= 0;else flag <= flag;endalways @(posedge clk or negedge rst_n) beginif(!rst_n) data <=0;else if(cnt_bsp == BAUD>>1 && flag) data[cnt_bit] <= din; // 串并转换 LSBelse data <= data; endalways @(posedge clk or negedge rst_n) beginif(!rst_n) begindout <= 8'b0;dout_vld <= 0;endelse if(end_cnt_bit)begindout <= data[8:1]; // 第0位起始位 被舍去 dout_vld <= 1;endelse begindout <= dout;dout_vld <= 0;endendendmodule

FPGA引脚图

在这里插入图片描述

四、实验效果

在这里插入图片描述

五、实验总结

实验成果

本次实验成功实现了通过树莓派与FPGA之间的串口通信控制FPGA开发板上的小灯。实验中,树莓派作为上位机,通过发送特定的字符信号,点亮了FPGA板上的LED灯。这验证了树莓派与FPGA之间的通信能力,并且展示了数字逻辑设计在实际应用中的实用性和灵活性。

技术要点

  • 串口通信:实验中使用了树莓派的串口功能来与FPGA进行数据交换,这是实现控制的关键技术点。
  • 信号解析:FPGA端的逻辑设计能够正确解析树莓派发送的信号,这是实现特定功能的基础。
  • 硬件连接:确保树莓派与FPGA之间的物理连接正确无误,包括串口线和电源连接。

遇到的问题及解决方案

  • 串口通信不稳定:在实验过程中,遇到了串口通信不稳定的问题,通过调整波特率和检查串口线路解决了这一问题。
  • FPGA逻辑设计错误:在FPGA逻辑设计中出现了解析信号错误的问题,通过反复检查和调试逻辑电路得到了解决。

实验心得

通过本次实验,我们不仅学习了树莓派和FPGA的基本知识,还掌握了它们之间的通信方法。实验过程中遇到的问题和挑战提高了我们解决实际问题的能力。此外,实验也加深了我们对数字逻辑设计和微控制器编程的理解。

最后感谢大佬友情链接:

  • 树莓派3B+串口通信(超详细简单版)_树莓派3b串口通信-CSDN博客
  • 树莓派3B+ 引脚图说明_树莓派3b+引脚图-CSDN博客
  • 树莓派介绍&树莓派3代B+型开发板_树莓派开发板-CSDN博客

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.xdnf.cn/news/1420648.html

如若内容造成侵权/违法违规/事实不符,请联系一条长河网进行投诉反馈,一经查实,立即删除!

相关文章

[C#] 使用HttpClient请求https地址报错的解决方案

当使用HttpClient请求HTTPS地址遇到报错时&#xff0c;下面将解析并提供可能的解决方案供参考。 文章目录 异常代码无法定位错误的准确定位错误的 常见错误错误1错误2 解决问题生产环境开发环境 异常代码 首先&#xff0c;需要查看引发异常的代码部分, 无法定位错误的 以下代…

LeetCode 题目 120:三角形最小路径和

作者介绍&#xff1a;10年大厂数据\经营分析经验&#xff0c;现任字节跳动数据部门负责人。 会一些的技术&#xff1a;数据分析、算法、SQL、大数据相关、python&#xff0c;欢迎探讨交流 欢迎加入社区&#xff1a;码上找工作 作者专栏每日更新&#xff1a; LeetCode解锁1000题…

Linux提权--第三方软件MYSQL数据库提权(WEB+本地)

免责声明:本文仅做技术交流与学习,非法搞事后果自负... 目录 靶场镜像: 过程: 手工: 下载mysql udf poc 进行编译. 进入数据库进行UDF导出 下载(上传) 创建do_system函数调用 探针(./LinEnum.sh),查找suid权限. 配合使用find调用执行 工具: 过程: 外连不上? 隧道出…

矿用光缆型号和规格

管道矿用光缆生产厂家&#xff0c;矿用光缆特点是什么&#xff0c;矿用通信光缆 矿用光缆 MGTS光缆的结构是将250 m光纤套入高模量材料制成的松套管中&#xff0c;松套管内填充防水化合物。缆芯的中心是一根金属加强芯&#xff0c;对于某些芯数的光缆来说&#xff0c;金属加强…

K-RTD01和利时FW248中控卡件

K-RTD01和利时FW248中控卡件。 系统概述 的全称为保护工程师站及录波分析后台”是利用现代计算机和网络技术&#xff0c;K-RTD01和利时FW248中控卡件。实时收集变电站运行和故障信息&#xff0c;并通过对变电站的故障信息进行综合分析&#xff0c;K-RTD01和利时FW248中控卡件。…

并发编程实现

一、并行编程 1、Parallel 类 Parallel类是System.Threading.Tasks命名空间中的一个重要类&#xff0c;它提供数据并行和任务并行的高级抽象。 For和ForEach Parallel类下的For和ForEach对应着普通的循环和遍历(普通的for和foreach)&#xff0c;但执行时会尝试在多个线程上…

Python中bisect模块

Python中bisect模块 在Python中&#xff0c;如果我们想维持一个已排序的序列&#xff0c;可以使用内置的bisect模块&#xff0c;例如&#xff1a; import bisect# 用于处理已排序的序列 inter_list [] bisect.insort(inter_list, 3) bisect.insort(inter_list, 2) bisect.in…

python3 Fatal error in launcher: Unable to create process using

python 环境变量 在window系统环境变量 path 中配置 python 的安装目录&#xff0c;目录层级至paython 的安转目录即可。 pip环境变量配置 在path 中增加配置 paython 安装目录下 Scripts 子目录的环境变量。 以上配置完成后&#xff0c;win R 打开命令窗口&#xff0c;输…

汽车商城系统

文章目录 汽车商城系统一、系统演示二、项目介绍三、部分功能截图四、部分代码展示五、底部获取项目源码&#xff08;9.9&#xffe5;带走&#xff09; 汽车商城系统 一、系统演示 汽车商城 二、项目介绍 该汽车商城系统主要分为前台和后台两大功能模块&#xff0c;共包含两种…

利用“AnaTraf“网络流量分析仪轻松诊断和优化网络

网络性能监测和诊断(NPMD)是网络管理和优化的重要环节,准确快速地定位和排除网络故障对于保障业务正常运转至关重要。作为一款专业的网络流量分析设备,AnaTraf网络流量分析仪凭借其强大的流量分析和故障诊断功能,为网络管理者提供了一个高效的网络优化解决方案。 全面掌握网络…

【C++】————类与对象(上)-基础知识

目录 1.面向过程和面向对象初步认识 2.类的引入 3.类的定义 类的两种定义方式&#xff1a; 成员变量命名规则的建议&#xff1a; 4.类的访问限定符及封装 4.1 访问限定符 ​编辑 【面试题】问题&#xff1a;C中struct和class的区别是什么&#xff1f; 4.2 封装 【面试…

ipa 功能包调试,分区算法,覆盖算法测试

参考 wiki 流网络 flow network 解释 相关文章 ipa 分区算法 ipa 分区算法总结&#xff0c;部分算法图解 环境 ubuntu20&#xff0c;ros 版本 noetic 运行测试 按照 readme 提示进行测试&#xff0c;跳过第一个步骤&#xff0c;并不需要 turtlebot3。 执行第三个 launch 报…

【计算机网络篇】数据链路层(10)在物理层扩展以太网

文章目录 &#x1f354;扩展站点与集线器之间的距离&#x1f6f8;扩展共享式以太网的覆盖范围和站点数量 &#x1f354;扩展站点与集线器之间的距离 &#x1f6f8;扩展共享式以太网的覆盖范围和站点数量 以太网集线器一般具有8~32个接口&#xff0c;如果要连接的站点数量超过了…

17_基于Flash和RAM的的文件系统选择

嵌入式系统常见文件系统 本文主要讲述在嵌入式系统中,常见的基于flash和内存(RAM)的文件系统类型,具体选择要结合实际需求灵活选配。 一、基于 Flash 的文件系统 基于 Flash 的文件系统主要包括 JFFS2、 YAFFS、 Cramfs 和 Romfs 等,各种文件系统具有不同的特点,本文将分…

数据结构-二叉树-红黑树

一、红黑树的概念 红黑树是一种二叉搜索树&#xff0c;但在每个节点上增加一个存储位表示节点的颜色&#xff0c;可以是Red或者BLACK&#xff0c;通过对任何一条从根到叶子的路径上各个节点着色方式的限制&#xff0c;红黑树确保没有一条路径会比其他路径长出两倍&#xff0c;…

人工智能生成图像的兴起:区分事实与虚构

人工智能生成图像的兴起&#xff1a;区分事实与虚构 概述 在人工智能 (AI) 已融入我们日常生活的时代&#xff0c;人工智能生成图像的快速发展引发了人们对数字内容真实性的担忧。最近&#xff0c;人工智能生成的图像甚至欺骗了最敏锐的眼睛&#xff0c;这引发了人们对批判性…

饥荒服务器搭建centos

服务器环境需要64位32位不可用 uname -r 查看服务器版本 更新yum sudo yum update 安装依赖环境 sudo yum -y install glibc.i686 libstdc.i686 libcurl4-gnutls-dev.i686 libcurl.i686 screen 安装steam cd /home && mkdir steamcmd && cd steamcmd 国…

动态规划算法练习——计数问题

题目描述 给定两个整数 a 和 b&#xff0c;求 a 和 b 之间的所有数字中 0∼9 的出现次数。 例如&#xff0c;a1024&#xff0c;b1032&#xff0c;则 a 和 b 之间共有 9 个数如下&#xff1a; 1024 1025 1026 1027 1028 1029 1030 1031 1032 其中 0 出现 10 次&#xff0c;1 出现…

创新指南|设计冲刺 – 更快找到成功的创新方案

“ 设计冲刺&#xff08;Design Sprint&#xff09;” 一词与跑步无关&#xff0c;而且不局限于设计&#xff0c;它与引导团队加速创新密切相关。设计冲刺旨在帮助创新团队在很短的时间内解决一个极有价值的问题。本文将深入解析这一法宝&#xff1a;设计冲刺是什么&#xff1f…

会声会影2024中文汉化补丁器附免费激活码序列号

会声会影是一款由加拿大Corel公司发布的视频编辑软件&#xff0c;它以其功能丰富和用户友好的界面而闻名。会声会影2024是该系列的最新版本&#xff0c;它不仅继承了之前版本的强大功能&#xff0c;还引入了一系列新的特性和工具&#xff0c;使得视频编辑更加简单、高效且富有创…