基于FPGA的OV5640摄像头图像采集

1.OV5640简介

OV5640是OV(OmniVision)公司推出的一款CMOS图像传感器,实际感光阵列为:2592 x 1944(即500w像素),该传感器内部集成了图像出炉的电路,包括自动曝光控制(AEC)、自动白平衡( AWB) 等。同时该传感器支持LED补光、 MIPI(移动产业处理器接口,多用于手机等)输出接口和DVP(数字视频并行,在设计HDMI显示时,就用的这个)输出接口选择、 ISP(图像信号处理)以及自动聚焦控制(AFC)等功能。

2.OV5640工作原理

OV5640的功能框图如上,可以看到,时序发生器和系统控制逻辑(timing generator and system control logic)控制着感光阵列(image array)、放大器(AMP)、AD转换(10bit)以及输出外部时序信号(PCLK和行场同步信号等)。

感光阵列输出模拟信号,经过AMP增强信号强度,进入到AD转换器,转换成数字信号并经过ISP,进行相关图像处理,最终输出10位DVP数据流或者MIPI数据流。

AMP和ISP等都是由控制寄存器进行控制,而配置寄存器的接口时序就是使用的SCCB,由于OV5640寄存器较多,OV5640寄存器的地址为16位,所以SCCB协议中的寄存器地址为16位。

OV5640摄像头引脚功能描述如下表所示:

注意XCLK引脚,它跟 PCLK是完全不同的,XCLK是用于驱动个传感器芯片的时钟信号,是外部输入到OV5640的信号;而 PCLKOV5640输出数据时的同步信号,它是由OV5640输出的信号。XCLK可以外接晶振或由外部控制器提供。

OV5640的输出模式如下图所示,我们可以通过对其寄存器的配置来控制不同的工作模式。

  • 3.OV5640寄存器功能介绍

OV5640的寄存器较多,对于其它寄存器的描述可以参OV5640的数据手册。但是,OV5640的数据手册并没有提供全部的寄存器描述, 而大多数必要的寄存器配置在OV5640的软件应用手册(《OV5640 Camera Module Software Application Notes》)中可以找到,其中还有相关初始化例程。这里我们只介绍几个关键的寄存器配置。

输出模式设置如下图所示,可以通过配置0x4300这个寄存器控制输出的像素模式包括REG565YUV422等常用模式。设置输出模式为RGB565时还可以控制输出。

输出像素设置则通过0x3808~0x380b进行控制,方法也非常简单,只需要将期望得到的分辨率转换为16进制数据,再分别写入四个寄存器即可。举个例子,我想要的分辨率为960x540960转换为16进制数据为3c0540转换为16进制数据为21c,因此我需要向0x3808中写入03,向0x3809中写入c0,向0x380a中写入02,向0x380b中写入1c

OV5640的像素时钟计算如图所示

通过图可以看出PCLK是经过图中8个步骤之后得到的频率,以下逐步计算得到PCLK。

OV5640要求输入的时钟频率为6-27MHz,一般情况下输入24MHz,在本次计算中也以24MHz为输入频率;

输入时钟首先经过pre-divider进行分频,分频系数由3037[3:0]确定,在本次计算中3037[3:0]3,故经过分频之后的输出为24/3=8MHz

经过pre-divider分频后需要给分频后的时钟做一次倍频,乘法因子为3036[6:0]=0x69=105,经过倍频后的时钟频率为8MHz*105=840MHz;

Sys divider0分频,分频系数为0x3035[7:4],在demo中的值为1,故没有进行分频;840MHz/1=840MHz;

PLL R divider分频,如果0x3037[4]为高电平,则进行2分频,否则不分频;在demo3037[4]1,故二分频;840MHz/2=420MHz;

BIT divider分频,分频系数为0x3034[3:0],如果是8,则是2分频,如果是A则是2.5分频,如果是其他则为1分频;在demo0x3034[3:0]a,故需要进行2.5分频;420MHz/2.5=168MHz;

PCLK divider分频, 分频系数为0x3108[5:4],00:1分频;01:2分频;10:4分频;11:8分频;在demo0x3108[5:4]=2’b00,故需要进行1分频;168MHz/1=168MHz

P divider分频,如果是mipi2 lane,则分频系数是0x3035[3:0],如果是DVP 接口则分频系数为2*0x3035[3:0]=2,在demo0x3035[3:0]=1,故在此是2分频;168MHz/2=84MHz

Scale divider分频,分频系数为0x3824[4:0],demo0x3824[4:0]=2故需要进行2分频,84MHz/4=21MHz

通过以上分析可以看出在demo中输入时钟为24MHz时,输出时钟为21MHz

OV5640 的图像输出帧率可以通过修改地址为 0x3035、0x3036、0x3037 的寄存器的值来修改,该寄存器实际上是设置了 OV5640 片上 PLL 的各种分频和倍频系数,例如在典型配置模式下,当输入时钟 XCLK 的信号频率为 24MHz 时, 设置 0x3035 寄存器的值为 0x21 可设置输出帧率为30fps,设为0x41可设置输出帧率为15fps、设为0x81可设置输出帧率为7.5fps。

4.SCCB协议

外部控制器对 OV5640 寄存器的配置参数是通过 SCCB 总线传输过去的,而 SCCB 总线跟 I2C 十分类似。

SCCB 的起始、停止信号及数据有效性

  • 起始信号: SCL(图中为 SIO_C 为高电平时, SDA(图中为 SIO_D)出现一个下降沿,则 SCCB 开始传输。
  • 停止信号:在 SCL 为高电平时, SDA 出现一个上升沿,则 SCCB 停止传输。
  • 数据有效性:除了开始和停止状态, 在数据传输过程中,当 SCL 为高电平时,必须保证 SDA 上的数据稳定,也就是说, SDA 上的电平变换只能发生在 SCL 为低电平的时候,SDA 的信号在 SCL 为高电平时被采集。

在 SCCB 协议中定义的读写操作与 I2C 也是一样的,只是换了一种说法。它定义了两种写操作,即三步写操作和两步写操作。三步写操作可向从设备的一个目的寄存器中写入数据,见下图。在三步写操作中,第一阶段发送从设备的ID地址+W标志(等于 I2C 的设备地址:7位设备地址+读写方向标志),第二阶段发送从设备目标寄存器的 8 位地址,第三阶段发送要写入寄存器的 8 位数据。图中的“X”数据位可写入 1 0,对通讯无影响。而在i2c协议中“X”为从机给主机的响应,若主机未收到从机的响应信号则无法发送后面的数据。

而两步写操作没有第三阶段,即只向从器件传输了设备 ID+W 标志和目的寄存器的地址,见下图 。两步写操作是用来配合后面的读寄存器数据操作的,它与读操作一起使用,实现i2c的复合过程。

两步读操作,它用于读取从设备目的寄存器中的数据,见下图。在第一阶段中发送从设备的设备 ID+R 标志(设备地址+读方向标志)和自由位,在第二阶段中读取寄存器中的8 位数据和写 NA 位(非应答信号)。 由于两步读操作没有确定目的寄存器的地址,所以在读操作前,必需有一个两步写操作,以提供读操作中的寄存器地址。

总的来说,i2c协议与SCCB协议的主要区别如下:

.SCCB的应答位称为X,表示“don't care”,而i2c应答位称为ACK

    .SCCB只能单次读,而i2c除了单次读还支持连续读。

  .SCCB读操作中间有stop,而i2c读操作中间可以有stop也可以不需要stop

5.程序设计

OV5640的整体设计框图如图,总共包含三个模块:i2c驱动模块、寄存器配置模块和图像采集模块。

`timescale 1ns / 1psmodule ov5640_top#(parameter   DEVICE_ADDR     =   7'b0111_100     ,                                                   //i2c从机地址parameter   SYS_CLK_FREQ    =   27'd100_000_000  ,                                                  //系统时钟频率parameter   I2C_FREQ        =   19'd400_000,                                                        //i2c时钟频率,400kparameter   PIC_CNT_MAX     =   4'd10,                                                              //舍弃前10帧数据parameter   REG_NUM         =   8'd0250,                                                            //需配置寄存器个数parameter   CNT_WAIT_MAX    =   15'd20000                                                           //寄存器配置等待时间)(input                                                   clk,                                    //系统时钟,100MHzinput                                                   rst_n,                                  //系统复位input                                                   pclk,                                   //ov5640工作时钟input                                                   hsync,                                  //行同步信号input                                                   vsync,                                  //场同步信号input                       [7:0]                       ov5640_din,                             //ov5640输入数据input                                                   init_done,                              //初始化完成信号output                  wire                            ov5640_dout_en,                         //输出图像数据使能信号output                  wire[15:0]                      ov5640_dout,                            //输出16位图像数据output                  wire                            cfg_done,                               //寄存器配置完成信号       inout                   wire                            sda,                                    //i2c数据总线output                  wire                            scl                                     //i2c时钟总线);wire                                                        cfg_1_done;                             //单个寄存器配置完成信号wire                                                        cfg_start;                              //开始配置信号wire                       [23:0]                           cfg_data;                               //寄存器地址+写入数据wire                                                        i2c_clk;                                //i2c驱动时钟  ov5640_data #(.PIC_CNT_MAX ( 4'd10 ))u_ov5640_data (.rst_n                                        ( rst_n && init_done                            ),.pclk                                         ( pclk                                          ),.hsync                                        ( hsync                                         ),.vsync                                        ( vsync                                         ),.ov5640_din                                   ( ov5640_din                                    ),.ov5640_dout_en                               ( ov5640_dout_en                                ),.ov5640_dout                                  ( ov5640_dout                                   )
);ov5640_cfg #(.REG_NUM      ( 8'd0250   ),.CNT_WAIT_MAX ( 15'd20000 ))u_ov5640_cfg (.clk                               ( i2c_clk                            ),.rst_n                             ( rst_n                              ),.cfg_1_done                        ( cfg_1_done                         ),.cfg_start                         ( cfg_start                          ),.cfg_data                          ( cfg_data                           ),.cfg_done                          ( cfg_done                           )
);i2c_drive #(.DEVICE_ADDR  ( 7'b0111_100    ),.SYS_CLK_FREQ ( 27'd100_000_000 ),.I2C_FREQ     ( 19'd400_000    ))u_i2c_drive (.sys_clk                 ( clk                      ),.sys_rst_n               ( rst_n                    ),.i2c_rw                  ( 1'b0                     ),.i2c_start               ( cfg_start                ),.i2c_num                 ( 1'b1                     ),.i2c_addr                ( cfg_data[23:8]           ),.i2c_data_w              ( cfg_data[7:0]            ),.i2c_clk                 ( i2c_clk                  ),.i2c_end                 ( cfg_1_done               ),.i2c_data_r              ( i2c_data_r               ),.scl                     ( scl                      ),.sda                     ( sda                      )
);endmodule

5.1图像采集模块

模块输入信号有5路,输入时钟信号为OV5640_pclk,由OV5640摄像头自带晶振产生并传入,频率24MHz,作为模块工作时钟;复位信号rst_n,低电平有效;OV5640_vsync为摄像头采集图像的场同步信号,可类比与VGA场同步信号,只在同步阶段为高电平,其他时刻保持低电平;OV5640_hsync为行有效图像使能信号,信号只有采集图像行有效显示区域为高电平,其他时刻为低电平;最后的OV5640_data为摄像头采集到的图像数据,要注意的是,OV5640_data位宽为8bit,采集的图像数据分两次传入模块,先传入图像数据高字节,下个时钟周期传入低字节。

系统上电后,摄像头刚采集的前几帧图像数据不太稳定,要先舍弃前10帧图像,之后的图像才能用于显示。为了舍弃前10帧图像,我们需要声明几个变量。首先要舍弃前10帧图像,需要一个计数器来计数,声明计数器pic_cnt对输入图像帧数进行计数;接下来就要考虑以什么为标志进行计数,这时我们想到每帧图像的传入,帧同步信号必不可少,那么声明帧同步信号寄存信号vsync_r,此信号延后帧同步信号一个时钟周期,利用两信号产生帧同步信号下降沿pic_flag,作为帧计数器pic_cnt的计数标志信号,该信号每拉高一次计数器自加1;声明帧有效信号pic_valid,当计数器计数到第10帧,pic_flag为高电平,将帧有效信号拉高并始终保持高电平。

前面说到,像素点图像信息并不是在一个时钟周期传入,而是在第一个时钟周期传入高8位,下一个时钟周期传入低8位,所以要正确显示图像就需要对传入图像数据进行拼接。实现数据拼接就需要声明若干变量。需要先声明一个寄存器对图像数据的高字节进行数据缓存,待低字节数据传入时,将图像数据进行拼接。首先声明寄存器OV5640_din_r对高字节数据进行缓存;声明标志信号data_flag控制数据缓存与拼接,在hsync信号有效时,标志着输入图像数据有效,data_flag不断取反,当其为低电平时对高字节数据进行缓存,当其为高电平时对数据进行拼接。将拼接后的数据赋值给OV_5640_dout_r

`timescale 1ns / 1psmodule ov5640_data#(parameter PIC_CNT_MAX                 =                 4'd10                               //舍弃前10帧数据)(input                                                   rst_n,                              //系统复位input                                                   pclk,                               //ov5640工作时钟input                                                   hsync,                              //行同步信号input                                                   vsync,                              //场同步信号input                       [7:0]                       ov5640_din,                         //ov5640输入数据output                  wire                            ov5640_dout_en,                     //输出图像数据使能信号output                  wire[15:0]                      ov5640_dout                         //输出16位图像数据);wire                                                        pic_flag;                           //帧图像标志信号,拉高一次标志一帧图像传输完成reg                                                         vsync_r;                            //场同步信号打拍reg                             [7:0]                       ov5640_din_r;                       //暂存输入8位数据reg                             [15:0]                      ov5640_dout_r;                      //暂存输出16位数据reg                                                         pic_valid;                          //帧图像有效信号   reg                             [9:0]                       pic_cnt;                            //帧图像计数器reg                                                         data_flag;                          //图像拼接标志reg                                                         data_flag_r;                        //图像拼接标志打拍always @(posedge pclk or negedge rst_n) beginif(!rst_n)vsync_r <= 1'b0;else vsync_r <= vsync;endalways @(posedge pclk or negedge rst_n) beginif(!rst_n)pic_cnt <= 10'd0;else if(pic_cnt < PIC_CNT_MAX && pic_flag == 1'b1)pic_cnt <=pic_cnt + 1'b1;elsepic_cnt <= pic_cnt;endalways @(posedge pclk or negedge rst_n) beginif(!rst_n)pic_valid <= 1'b0;else if(pic_cnt == PIC_CNT_MAX && pic_flag == 1'b1)pic_valid <= 1'b1;elsepic_valid <= pic_valid;endalways @(posedge pclk or negedge rst_n) beginif(!rst_n)begindata_flag <= 1'b0;ov5640_din_r <= 8'd0;ov5640_dout_r <= 16'd0;endelse if(hsync)begindata_flag <= ~data_flag;ov5640_din_r <= ov5640_din;ov5640_dout_r <= ov5640_dout_r;if(data_flag)ov5640_dout_r <= {ov5640_din_r,ov5640_din};                                         //像素数据拼接else ov5640_dout_r <= ov5640_dout_r;endelse begindata_flag <= 1'b0;ov5640_din_r <= 8'd0;ov5640_dout_r <= ov5640_dout_r;endendalways @(posedge pclk or negedge rst_n) beginif(!rst_n)data_flag_r <= 1'b0;elsedata_flag_r <= data_flag;endassign pic_flag = (vsync == 1'b1 && vsync_r == 1'b0) ? 1'b1 : 1'b0;assign ov5640_dout = (pic_valid == 1'b1) ? ov5640_dout_r : 16'd0;assign ov5640_dout_en = (pic_valid == 1'b1) ? data_flag : 1'b0;endmodule

5.2SCCB协议

由于SCCB协议与i2c协议非常相似,因此我们可以i2c协议稍加改动便可进行寄存器配置,利用之前的i2c驱动模块,将应答信号直接拉高即可。然后还要将器件地址改成OV5640的器件地址,即0111_100

module  i2c_drive
#(parameter   DEVICE_ADDR     =   7'b0111_100     ,   //i2c从机地址parameter   SYS_CLK_FREQ    =   27'd100_000_000  ,   //系统时钟频率parameter   I2C_FREQ        =   19'd400_000         //i2c时钟频率,400k
)
(
//系统接口input		        sys_clk     ,   			//输入系统时钟,100MHzinput		        sys_rst_n   ,   			//输入复位信号,低电平有效
//I2C时序控制接口				input				i2c_rw		,				//读写使能信号----1:读;0:写input		        i2c_start   ,   			//i2c开始信号input		        i2c_num    	,   			//i2c字节地址字节数----1:16位;0:8位input		[15:0]  i2c_addr   	,   			//i2c字节地址input		[7:0]   i2c_data_w	,   			//写入i2c数据output  reg			i2c_clk     ,   			//i2c驱动时钟output  reg			i2c_end     ,   			//i2c一次读/写操作完成output  reg	[7:0]   i2c_data_r  ,   			//i2c读取数据
//I2C物理接口				output  reg			scl     	,   			//输出至i2c设备的串行时钟信号sclinout   wire		sda         				//输出至i2c设备的串行数据信号sda
);		//状态机定义		
localparam	IDLE 			= 4'd0,					//初始化状态START1			= 4'd1,					//发送开始信号状态1SEND_D_ADDR_W 	= 4'd2,					//设备地址写入状态 + 控制写ACK1			= 4'd3,					//等待从机响应信号1SEND_R_ADDR_H	= 4'd4,					//发送寄存器地址高8位ACK2			= 4'd5,					//等待从机响应信号2SEND_R_ADDR_L	= 4'd6,					//发送寄存器地址低8位ACK3			= 4'd7,					//等待从机响应信号3WR_DATA         = 4'd08,  				//写数据状态ACK4            = 4'd09,  				//应答状态4START2          = 4'd10,  				//发送开始信号状态12SEND_D_ADDR_R   = 4'd11,  				//设备地址写入状态 + 控制读ACK5            = 4'd12,  				//应答状态5RD_DATA         = 4'd13,  				//读数据状态NACK            = 4'd14,  				//非应答状态STOP            = 4'd15;  				//结束状态//根据系统频率及IIC驱动频率计算分频系数			
localparam	CLK_DIVIDE = SYS_CLK_FREQ / I2C_FREQ >> 2'd3;	//reg定义			
reg	[9:0]	clk_cnt			;						//分频时钟计数器,最大计数1023			
reg	[3:0]	cur_state		;						//状态机现态	 
reg	[3:0]	next_state		;						//状态机次态	
reg			i2c_clk_cnt_en	;			 			//驱动时钟计数使能
reg	[1:0]	i2c_clk_cnt		;			 			//驱动计数时钟,方便在SCL的高电平中间采集数据;和在SCL的低电平中间变化数据
reg			sda_out			;						//IIC总线三态输出
reg			sda_en			;						//IIC总线三态门使能
reg [2:0]	bit_cnt			;						//接收数据个数计数器
reg			ack_flag		;						//应答信号标志
reg	[7:0]	i2c_data_r_temp	;						//读取数据寄存器,暂存读到的数据//wire定义		
wire		sda_in			;						//IIC总线三态输入
wire [7:0]	addr_r			;						//器件地址+读控制位
wire [7:0]	addr_w			;						//器件地址+写控制位assign addr_r = {DEVICE_ADDR,1'b1};					//器件地址+读控制位
assign addr_w = {DEVICE_ADDR,1'b0};					//器件地址+写控制位//双向口处理
assign sda_in = sda;				
assign sda = sda_en ? sda_out : 1'bz;//scl4分频时钟=IIC驱动时钟i2c_clk,方便操作对采集数据及变化数据操作
always@(posedge sys_clk or negedge sys_rst_n)beginif(~sys_rst_n)begini2c_clk <= 1'b0;clk_cnt <= 10'd0;endelse if(clk_cnt == CLK_DIVIDE - 1'b1)begini2c_clk <= ~i2c_clk;clk_cnt <= 10'd0;		endelse begini2c_clk <= i2c_clk;clk_cnt <= clk_cnt + 1'd1;	end
end//i2c_clk计数器使能
always@(posedge i2c_clk or negedge sys_rst_n)beginif(!sys_rst_n)i2c_clk_cnt_en <= 1'b0;//只有在发送完了结束信号或者没有接收到IIC开始传输信号的初始状态下才不停对i2c_clk计数器复位(使能为0)else if ((cur_state == STOP && i2c_clk_cnt == 2'd3 && bit_cnt == 2'd3)||(cur_state == IDLE && !i2c_start ))i2c_clk_cnt_en <= 1'b0; else if(i2c_start)							i2c_clk_cnt_en <= 1'b1;							//接收到开始信号,代表一次传输开始,计数器开始计数else											i2c_clk_cnt_en <= i2c_clk_cnt_en;				//其他时候保持不变
end//i2c_clk_cnt计数器
always@(posedge i2c_clk or negedge sys_rst_n)beginif(!sys_rst_n)i2c_clk_cnt <= 2'd0;else if(i2c_clk_cnt_en)						i2c_clk_cnt <= i2c_clk_cnt + 1'd1;				//使能信号有效,计数器开始计数else		i2c_clk_cnt <= 2'd0;							//使能信号无效,计数器清零
end//三段式状态机第一段
always@(posedge i2c_clk or negedge sys_rst_n)beginif(~sys_rst_n)cur_state <= IDLE;elsecur_state <= next_state;
end//三段式状态机第二段
always@(*)beginnext_state = IDLE;case(cur_state)IDLE:if(i2c_start)next_state = START1;					//接收到开始信号,跳转到发送起始信号状态elsenext_state = IDLE;START1:if(i2c_clk_cnt == 2'd3)						//i2c_clk 计数到最大值3,跳转到发送器件地址+写标志位状态next_state = SEND_D_ADDR_W;elsenext_state = START1;SEND_D_ADDR_W:if(i2c_clk_cnt == 2'd3 && bit_cnt == 3'd7)	//发送了8位地址后跳转到从机响应状态next_state = ACK1;	elsenext_state = SEND_D_ADDR_W;			ACK1:if(ack_flag && i2c_clk_cnt == 2'd3)begin	//响应标志有效//根据地址状态位判断是16位地址还是8位地址,从而跳转到不同状态if(i2c_num)								//16位地址next_state = SEND_R_ADDR_H;			//跳转到寄存器高8位地址发送状态else									//8位地址next_state = SEND_R_ADDR_L;			//跳转到寄存器低8位地址发送状态end	else if(i2c_clk_cnt == 2'd3)				//响应无效或者响应不及时则跳转回初始状态next_state = IDLE;else next_state = ACK1;SEND_R_ADDR_H:									if(i2c_clk_cnt == 2'd3 && bit_cnt == 3'd7)	//发送了寄存器高8位地址后跳转到从机响应状态next_state = ACK2;else										next_state = SEND_R_ADDR_H;ACK2:if(ack_flag && i2c_clk_cnt == 2'd3)			next_state = SEND_R_ADDR_L;				//响应标志有效则跳转到寄存器低8位地址发送状态else if(i2c_clk_cnt == 2'd3)				//响应无效或者响应不及时则跳转回初始状态next_state = IDLE;	elsenext_state = ACK2;SEND_R_ADDR_L:if(i2c_clk_cnt == 2'd3 && bit_cnt == 3'd7)	//发送了寄存器低8位地址后跳转到从机响应状态next_state = ACK3;elsenext_state = SEND_R_ADDR_L;ACK3:if(ack_flag && i2c_clk_cnt == 2'd3)begin	//响应标志有效	if(i2c_rw)								//读状态next_state = START2;				//跳转到第二次发送起始信号else									//写状态next_state = WR_DATA;				//跳转到写数据状态endelse if(i2c_clk_cnt == 2'd3)				next_state = IDLE;						//响应无效或者响应不及时则跳转回初始状态elsenext_state = ACK3;START2:if(i2c_clk_cnt == 2'd3)						next_state = SEND_D_ADDR_R;				//第二次发送起始信号后跳转到发送器件地址+读标志位状态elsenext_state = START2;SEND_D_ADDR_R:if(i2c_clk_cnt == 2'd3 && bit_cnt == 3'd7)	//发送完了8位地址后跳转到从机响应状态next_state = ACK5;	elsenext_state = SEND_D_ADDR_R;			ACK5:if(ack_flag && i2c_clk_cnt == 2'd3)			next_state = RD_DATA;                   //响应标志有效则跳转到读数据状态else if(i2c_clk_cnt == 2'd3)                next_state = IDLE;				        //响应无效或者响应不及时则跳转回初始状态else                                        next_state = ACK5;		RD_DATA:if(i2c_clk_cnt == 2'd3 && bit_cnt == 3'd7)	//接收完了8位数据后跳转到主机发送非响应状态next_state = NACK;elsenext_state = RD_DATA;		NACK:if(i2c_clk_cnt == 2'd3)						next_state = STOP;						//发送完了非响应信号后跳转到发送结束信号状态elsenext_state = NACK;						WR_DATA:if(bit_cnt == 3'd7 && i2c_clk_cnt == 2'd3)	next_state = ACK4;						//写完了8位数据后跳转到从机响应状态elsenext_state = WR_DATA;ACK4:if(ack_flag && i2c_clk_cnt == 2'd3)next_state = STOP;						//响应标志有效则跳转到发送结束信号状态else if(i2c_clk_cnt == 2'd3)next_state = IDLE;						//响应无效或者响应不及时则跳转回初始状态elsenext_state = ACK4;STOP:if(bit_cnt == 2'd3 && i2c_clk_cnt == 2'd3)	//结束信号发送完毕(这里还预留了2个周期)跳转到初始状态,等待下一次传输开始信号next_state = IDLE;elsenext_state = STOP;		default:next_state = IDLE;endcase
end//三段式状态机第三段
always@(posedge i2c_clk or negedge sys_rst_n)beginif(~sys_rst_n)begin								//初始状态sda_en <= 1'b1;sda_out <= 1'b1;bit_cnt	<= 3'd0;i2c_end <= 1'b0;i2c_data_r <= 8'd0;i2c_data_r_temp <= 8'd0;endelse begini2c_end <= 1'b0;case(cur_state)IDLE:beginsda_en <= 1'b1;						//控制总线sda_out <= 1'b1;					//拉高总线end	START1:beginif(i2c_clk_cnt == 2'd3)begin	//发送完了开始信号if(addr_w[7])begin			//如果器件地址的最高位为1则提前拉高总线sda_en <= 1'b1;sda_out <= 1'b1;													endelse begin					//如果器件地址的最高位为0则提前拉低总线sda_en <= 1'b1;sda_out <= 1'b0;												endendelse begin						//还没发送完开始信号则保持低电平sda_en <= 1'b1;sda_out <= 1'b0;					endend	SEND_D_ADDR_W:beginif(bit_cnt == 3'd7)begin			if(i2c_clk_cnt == 2'd3)begin	//发送了8个数据(器件地址+写标志位)bit_cnt <= 3'd0;			//发送数据计数器清零sda_en <= 1'b0;				//释放总线endendelse if(i2c_clk_cnt == 2'd3)begin	//发送完了一个数据bit_cnt <= bit_cnt + 1'd1;		//发送数据计数器清零sda_en <= 1'b1;					//控制总线sda_out <= addr_w[6-bit_cnt];	//总线依次串行输出地址endendACK1:beginif(i2c_clk_cnt == 2'd3)begin				if(i2c_num)begin				//如果器件地址为16位if(i2c_addr[15])begin		//如果器件地址的16位为1则提前拉高总线sda_en <= 1'b1;sda_out <= 1'b1;	endelse begin					//如果器件地址的16位为0则提前拉低总线sda_en <= 1'b1;sda_out <= 1'b0;	endendelse begin						//如果器件地址为8位if(i2c_addr[7])begin		//如果器件地址的8位为1则提前拉高总线sda_en <= 1'b1;sda_out <= 1'b1;	endelse begin					//如果器件地址的8位为0则提前拉低总线sda_en <= 1'b1;sda_out <= 1'b0;	endend			end endSEND_R_ADDR_H:beginif(bit_cnt == 3'd7)begin			//8个数据发送完了if(i2c_clk_cnt == 2'd3)beginbit_cnt <= 3'd0;			//发送数据计数器清零sda_en <= 1'b0;				//释放总线endendelse if(i2c_clk_cnt == 2'd3)beginbit_cnt <= bit_cnt + 1'd1;		//发送数据计数器清零sda_en <= 1'b1;                 //控制总线sda_out <= i2c_addr[14-bit_cnt];//总线依次串行输出地址end			endACK2:beginif(i2c_clk_cnt == 2'd3)beginif(i2c_addr[7])begin			//下一个要发送数据的首个数据为高则提前拉高总线sda_en <= 1'b1;sda_out <= 1'b1;													endelse begin						//下一个要发送数据的首个数据为低则提前拉低总线sda_en <= 1'b1;sda_out <= 1'b0;												end				endend	SEND_R_ADDR_L:begin	if(bit_cnt == 3'd7)begin				//8个数据发送完了if(i2c_clk_cnt == 2'd3)beginbit_cnt <= 3'd0;				//发送数据计数器清零sda_en <= 1'b0;					//释放总线endendelse if(i2c_clk_cnt == 2'd3)beginbit_cnt <= bit_cnt + 1'd1;		//发送数据计数器清零sda_en <= 1'b1;                 //控制总线sda_out <= i2c_addr[6-bit_cnt]; //总线依次串行输出地址end			endACK3:beginif(!i2c_rw)begin					//是写操作if(i2c_clk_cnt == 2'd3)beginif(i2c_data_w[7])begin		//下一个要发送数据的首个数据为高则提前拉高总线sda_en <= 1'b1;sda_out <= 1'b1;													endelse begin					//下一个要发送数据的首个数据为低则提前拉低总线sda_en <= 1'b1;sda_out <= 1'b0;												end				end endelse begin							//是读操作if(i2c_clk_cnt == 2'd3)begin	//提前拉高总线进入再次发送起始信号状态sda_en <= 1'b1;sda_out <= 1'b1;								endelse beginsda_en <= 1'b1;sda_out <= 1'b0;												end				endendSTART2:beginif(i2c_clk_cnt == 2'd1)begin		//拉低总线sda_en <= 1'b1;sda_out <= 1'b0;						endelse if(i2c_clk_cnt == 2'd3)beginif(addr_r[7])begin				//下一个要发送数据的首个数据为高则提前拉高总线sda_en <= 1'b1;sda_out <= 1'b1;													endelse begin						//下一个要发送数据的首个数据为低则提前拉低总线sda_en <= 1'b1;sda_out <= 1'b0;												end						endendSEND_D_ADDR_R:beginif(bit_cnt == 3'd7)begin				//8个数据发送完了if(i2c_clk_cnt == 2'd3)beginbit_cnt <= 3'd0;				//发送数据计数器清零sda_en <= 1'b0;					//释放总线endendelse if(i2c_clk_cnt == 2'd3)beginbit_cnt <= bit_cnt + 1'd1;		//发送数据计数器清零sda_en <= 1'b1;                 //控制总线sda_out <= addr_r[6-bit_cnt];   //总线依次串行输出地址endend		ACK5:sda_en <= 1'b0;							//下一个状态是接收数据,所以释放总线RD_DATA:if(i2c_clk_cnt == 2'd3)beginif(bit_cnt == 3'd7)begin			//接收了8个数据bit_cnt <= 3'd0;				//发送数据计数器清零sda_en <= 1'b1;					//控制总线sda_out <= 1'b1;                //拉高总线(为了下一步发送非响应信号)i2c_data_r <= i2c_data_r_temp;	//将读取的数据输出						endelse begin							//数据还未接收完毕		bit_cnt <= bit_cnt + 3'd1;				end				endelse if(i2c_clk_cnt == 2'd1)begin		//在SCL的中间采集数据i2c_data_r_temp[7-bit_cnt] <=sda_in;//将总线上的数据依次串行采集				end							NACK:if(i2c_clk_cnt == 2'd3)begin			sda_en <= 1'b1;						//控制总线sda_out <= 1'b0;					//拉高总线																					end				WR_DATA:if(bit_cnt == 3'd7)begin				//写完了8个数据if(i2c_clk_cnt == 2'd3)beginbit_cnt <= 3'd0;				//发送数据计数器清零sda_en <= 1'b0;					//释放总线endendelse if(i2c_clk_cnt == 2'd3)begin		//没有写完8个数据bit_cnt <= bit_cnt + 1'd1;			//发送数据计数器累加sda_en <= 1'b1;sda_out <= i2c_data_w[6-bit_cnt];	//依次输出数据end	ACK4:if(i2c_clk_cnt == 2'd3)beginsda_en <= 1'b1;						//控制总线sda_out <= 1'b0;					//拉低总线(为了下一步发送终止信号)																					end				 STOP:				if(i2c_clk_cnt == 2'd2 && bit_cnt == 2'd0)begin	//拉高信号作为终止信号	sda_en <= 1'b1;sda_out <= 1'b1;																									endelse if( i2c_clk_cnt == 2'd3 )beginif(bit_cnt == 2'd3)begin					bit_cnt <= 2'd0;i2c_end <= 1'b1;				//发送完了终止信号且延时一段时间发送IIC结束信号endelsebit_cnt <= bit_cnt + 1'd1;enddefault:;endcaseend
end//i2c时钟生成
always@(posedge i2c_clk or negedge sys_rst_n)beginif(~sys_rst_n)scl <= 1'b1;else if(cur_state != STOP)beginif(i2c_clk_cnt == 2'd2)scl <= 1'b0;else if(i2c_clk_cnt == 2'd0)scl <= 1'b1;	endelse scl <= 1'b1;
end
//从机响应信号标志
always@(posedge i2c_clk or negedge sys_rst_n)beginif(~sys_rst_n)ack_flag <= 1'b0;else case(cur_state)ACK1,ACK2,ACK3,ACK4,ACK5://if(i2c_clk_cnt == 2'd1 && !sda_in)		//在从机响应状态正确接收到了从机发送的响应信号则拉高响应标志ack_flag <= 1'b1;//else if(i2c_clk_cnt == 2'd3)			//	ack_flag <= 1'b0;default:ack_flag <= 1'b0;endcase
endendmodule
  1. 6.仿真结果

总体仿真如上图所示,可以看到每一帧图像传输完成,场同步信号拉高一次,并且前10帧图像会被舍弃。

摄像头数据传输仿真如上图所示,使能信号每翻转一次进行一次数据拼接。

寄存器配置如上图所示,不需要从机发送应答信号。

7.问题总结

本次代码还未进行板级验证,先说一说仿真遇到的问题,其他问题后续再进行补充,首先就是方针过程中出现了如下图所示的情况。行同步信号和场同步信号出现了未知态,原因是有两个驱动,我的testbench是自动生成的因此开始会将两个信号的值赋0,后面我再对其进行赋值就会出现未知态。还有就是寄存器的配置,在网上找了很多资料都没有一个确定的答案,可能文中的说法也会有错,欢迎大家批评指正,代码参考正点原子。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.xdnf.cn/news/1523629.html

如若内容造成侵权/违法违规/事实不符,请联系一条长河网进行投诉反馈,一经查实,立即删除!

相关文章

内网渗透-域环境搭建

构建内网环境 在学习内网渗透测试时,需要构建一个内网环境并搭建攻击主机,通过具体操作理解漏洞的工作原理,从而采取相应的防范措施。 一个完整的内网环境,需要各种应用程序、操作系统和网络设备,可能比较复杂。我们只需要搭建其中的核心部分,也就是Linux服务器和 Windows服务…

复变函数在大模型中的应用

1. 导入 说来惭愧&#xff0c;我研究生时的研究方向是复分析&#xff0c;但毕业近十年来几乎没用到它。 我还记得实习时做自我介绍时&#xff0c;我说我的研究方向是复分析。面试官不太了解&#xff0c;我便解释说&#xff0c;这是关于对 -1 开平方得到的虚数 i 的研究。 在…

【iOS】——分类拓展关联对象

分类 OC的动态特征允许使用类别为现有的类添加新方法并且不需要创建子类&#xff0c;不需要访问原有类的源代码。通过使用类别即可动态为现有的类添加新方法&#xff0c;而且可以将类定义模块化分布到多个相关文件。 分类是 Objective-C 中的一种语言特性&#xff0c;它允许你…

缓解webclient频繁报‘Connection prematurely closed BEFORE response’的问题

现象&#xff1a; 我在Java代码中使用org.springframework.web.reactive.function.client.WebClient进行网络请求&#xff0c;一开始会有比较多的偶发报错&#xff1a;Connection prematurely closed BEFORE response&#xff0c;网络连接莫名其妙就断了。 处理&#xff1a; …

pm2 + linux + nginx

pm2 pm2是一个用于管理node项目的工具 前言 有如下两个文件 index.js const express require("express"); const app express(); const port 9999;app.get("/index", (req, res) > {res.json({code:200,msg:"songzx001"}) });app.lis…

学习硬件测试06:IIC(SHT30)+HMI串口屏+RS485(modbus)+SPI Flash读写+CAN通信(P81、P91、P95、P120、)

文章以下内容全部为硬件相关知识&#xff0c;鲜有软件知识&#xff0c;并且记的是自己需要的部分&#xff0c;大家可能看不明白。 一、IIC&#xff08;SHT30 数字温湿度传感器&#xff09; 1.1实验现象 1、软件模拟 I2C 协议与 SHT30 数字温湿度传感器通讯&#xff1b; &am…

怎么把视频转换成mp4:好用的mp4格式转换器免费版推荐

用手机或者其他拍摄设备记录生活已经成为一种日常&#xff0c;当你想把手机里储存的日常小确幸发布到平台上时&#xff0c;才发现你视频的格式在平台上并不被支持。这个事实难免让人丧气。如果你还想继续上传视频的话&#xff0c;就不得不把视频格式转换成被平台支持的mp4格式。…

ELK系列之一---探索ELK奇妙世界:初识日志界大名鼎鼎的ES集群!

目录 一、为什么要使用ELK 二、ELK简介 三、Elaticsearch入门 3.1、什么是elaticsearch 3.2、elaticsearch的底层优点 3.2.1、全文检索 3.2.2、倒排索引 3.3、elaticsearch集群原理 一、为什么要使用ELK 一般我们需要进行日志分析场景&#xff1a;直接在日志文件中 gre…

Redis从入门到入门(上)

1.Redis概述 文章目录 1.Redis概述1.1 什么是Redis1.2 Redis的应用场景 2.Linux下Redis的安装与使用2.1 Redis下载2.2 Redis的启动2.3 Redis配置2.4 连接Redis 1.1 什么是Redis Redis是用C语言开发的一个开源的高性能键值对&#xff08;key-value&#xff09;数据库&#xff0…

C语言sprintf函数使用

1 其函数原型为&#xff1a;int sprintf(char *str, const char *format,...)。 具体用法如下&#xff1a; 基本语法&#xff1a; str&#xff1a;目标字符串的指针&#xff0c;用于存储格式化后的结果。format&#xff1a;格式化字符串&#xff0c;用于指定输出的格式。后续是…

数据结构-队列的介绍及循环队列

1.队列的概念 在开始前&#xff0c;请牢记这句话&#xff1a;队列是一个先进先出的数据结构。 队列&#xff08;queue&#xff09;是限定在表的一端进行插入&#xff0c;表的另一端进行删除的数据结构&#xff0c;如同栈的学习&#xff0c;请联系前文所学链表&#xff0c;试想…

4.5SQL注入之加解密注入

SQL注入之加解密注入Base64是网络上最常见的用于传输8Bit字节码的编码方式之一&#xff0c;Base64就是一种基于64个可打印字符来表示二进制数据的方法。 Less-21关 Cookie加密注入&#xff1a; 通过Burpsuite抓包&#xff1a; 进行Base64解密&#xff1a;

波场(Tron)监听区块交易(TRX,USDT)

前言说明&#xff1a; 本篇文章参考GitHub一位伙伴的代码&#xff0c;再代码基础上优化改良以后的结果&#xff0c;但是一下找不到那位大佬的GitHub链接了&#xff0c;如有侵权请联系作者调整文章&#xff0c;让跟多人收益。谢谢。 实现思路: 波场链是一条很新奇的链&#xff…

Nexus配置npm私服

1&#xff0c;配置npm-hub 2&#xff0c;配置proxy-npm 3&#xff0c;配置group-npm 4&#xff0c;配置local-npm 5&#xff0c;配置淘宝

[overleaf] 论文中含有中文字符导致编译失败

解决方案分为两步&#xff1a; 1. 加入package&#xff1a; UTF8或者xeCJK \usepackage[UTF8]{ctex}二选一 \usepackage{xeCJK} 2. 修改编译方式&#xff1a; Menu -> Setting -> Compiler -> XeLatex

MyPrint打印设计器(七)svg篇-二阶贝塞尔曲线

svg-二阶贝塞尔曲线 介绍一款强大的svg操作库&#xff0c;能够通过简单的代码&#xff0c;实现svg绘制与操纵&#xff0c;实现拖拽等功能 代码仓库 在线体验 代码仓库&#xff1a;github 代码仓库&#xff1a;gitee 实战项目&#xff1a;MyPrint 操作简单&#xff0c;组件丰富…

Ovirt-Engine(4.3.10 )备份恢复

介绍如何进行 oVirt Engine 的备份、恢复以及相关操作&#xff0c;包括自动备份脚本、手动备份步骤、托管引擎的恢复流程&#xff0c;以及恢复后的配置和验证步骤。 1. Engine 备份部分 1.1 备份使用的脚本 以下是一个用于自动备份 oVirt Engine 的 Bash 脚本&#xff1a; …

标签中的ref属性

之前说过了 ref() 函数&#xff0c;现在说的标签中的 ref 属性 和 ref() 函数也存在一定关联。 2、 标签中的 ref 属性分为两种情况&#xff1a; 用在普通DOM标签上&#xff0c;获取的是DOM节点。 用在组件标签上&#xff0c;获取的是组件实例对象 Vue2 中标签上的 ref 属性…

掌握AIGC的魔法:编写高质量提示词的艺术与科学

嘿&#xff0c;技术达人们&#xff0c;&#x1f680; 今天我们来聊聊AIGC界的超级明星——提示词&#xff08;Prompt&#xff09;。在AI生成内容的奇妙世界里&#xff0c;提示词就是那个点石成金的魔法棒。想要AI小伙伴听你的指挥&#xff0c;创造出令人惊叹的内容吗&#xff1…

9.2~9.3-模型量化学习内容

量化简介 量化是将模型浮点数变为定点数运行的过程。通过一个原始float数值range(scale、min、max)&#xff0c;将类似实属域的float数值映射到一个网格比较稀疏的int网络上&#xff0c;中间肯定会产生数值的偏移。 基本概念 &#xff1a;模型量化可以减少模型尺寸&#xff0…