【单片机】14-I2C通信之EEPROM

1.EEPROM概念

1.EEPROM

1.1 一些概念

(1)一些概念:ROM【只读存储器---硬盘】,RAM【随机访问存储器--内存】,PROM【可编程的ROM】,EPROM【可擦除ROM】,EEPROM【电可擦除ROM】

1.2 为什么需要EEPROM

单片机内部的ROM只能在程序下载时进行擦除和改写,但是程序运行本身是不能改写的。

单片机内部的RAM中的数据程序运行时可以改,但是掉电就丢失了。

有时候我们需要有一些数据存在系统中,要求掉电不丢失,而且程序还要能改。所以内部ROM和RAM都不行。【这时候系统需要一块EEPROM】

1.3 EEPROM和flash的区别与联系

单片机解密中Flash和EEPROM的区别-电子工程世界

1.4 EEPROM存在系统中的2种形式

1:内置在单片机内部

2:外部扩展

2.EEPROM如何编程

1.I2C接口底层时序

底层:CPU和I2C的接口

2.器件定义的寄存器读写时序

上层:器件时序

2.原理图和数据手册

1.接线确定

查看SCL和SDA无其他接线影响

SCL对应P2.1 SDA对应P2.0

2.数据手册理论

立创商城_一站式电子元器件采购自营商城_现货元器件交易网-嘉立创电子商城

24c02中文官方资料手册pdf - 百度文库

1.芯片的基本信息

类似于一个主持人叫A说话,其他人就不可以说话,但是其他人可以听到主持人和A说话,但是不可以回应。 --广播式

主设备:51单片机---发送器

从设备:24Cxxx---接收器

2.I2C从地址确定

每一个I2C都有从地址

3.I2C底层时序

起始信号:

发送字节:一般第一个是从设备的地址【因为我们在通话之前,要先发送要进行通话的地址,设备都与自己的地址是否相同,如果相同则响应;如果不同,则丢弃】

读取字节:

停止信号:

3.I2C总结

(1)主CPU和其附属芯片之间最常用的接口,尤其是各种传感器,因此在物联网时代非常重要

(2)三根线:SCL,SDA,GND,串行,电平式

(3)总线式结构:可以一对多,总线上可以挂上百个器件【一个主设备,多个从设备】,用【从地址】来区分--主设备不需要地址

(4)主从式,由主设备来发起通信及总线仲裁,从设备被动响应

(5)通信速率一般(kbps级别),不合适语音,视频等信息类型

4.I2C总线协议定义

起始信号

终止信号

应答信号

从设备回复主设备,判断从设备是否得到数据。

可以设置是否要进行”应答信号“【可有可无】

3.I2C低层时序图和程序

1.起始信号和结束信号

SCL和SDA交互进行判断

(1)起始信号:SCL保持高时,SDA有一个从高到低(下降沿)
(2)结束信号:SCL保持高时,SDA有一个从低到高(上升沿)

起始信号

/*******************************************************************************
* 函 数 名       : iic_start
* 函数功能		 : 产生IIC起始信号
* 输    入       : 无
* 输    出    	 : 无
*******************************************************************************/
void iic_start(void)
{IIC_SDA=1;//如果把该条语句放在SCL后面,第二次读写会出现问题delay_10us(1);IIC_SCL=1;delay_10us(1);IIC_SDA=0;	//当SCL为高电平时,SDA由高变为低,表示起始信号delay_10us(1);IIC_SCL=0;//钳住I2C总线,准备发送或接收数据delay_10us(1);
}

终止信号

/*******************************************************************************
* 函 数 名         : iic_stop
* 函数功能		   : 产生IIC停止信号   
* 输    入         : 无
* 输    出         : 无
*******************************************************************************/
void iic_stop(void)
{	IIC_SDA=0;//如果把该条语句放在SCL后面,第二次读写会出现问题delay_10us(1);IIC_SCL=1;delay_10us(1);IIC_SDA=1;	//当SCL为高电平时,SDA由低变为高,表示结束信号delay_10us(1);			
}

2.I2C发送一个字节

传输”0“或应答位(A)

传输”1“或应答位(/A)

/*******************************************************************************
* 函 数 名         : iic_write_byte
* 函数功能		   : IIC发送一个字节 
* 输    入         : dat:发送一个字节
* 输    出         : 无
*******************************************************************************/
void iic_write_byte(u8 dat)
{                        u8 i=0; //为了保证时序正确,这里要加上    //当SDA将数据放好才可以将SCL置为高电平IIC_SCL=0; for(i=0;i<8;i++)	//循环8次将一个字节传出,先传高再传低位{              if((dat&0x80)>0) IIC_SDA=1;elseIIC_SDA=0;dat<<=1; //将次高位移动到最高位	  delay_10us(1);  IIC_SCL=1; //产生一个上升沿delay_10us(1); IIC_SCL=0; //产生一个下降沿	delay_10us(1);}	 
}

 

(1)I2C发送和接收字节时,都是从高位开始的

3.应答位处理

在接收完8位bit后,在第9个时间周期

应答处理:SDA变低【AT2402拉低】。

如果我们去检测,如果此时SDA为低电平,则表示已经被拉低,则表示已经响应到;如果SDA为高电平,则表示未能响应到。

 产生ACK应答  

/*******************************************************************************
* 函 数 名         : iic_ack
* 函数功能		   : 产生ACK应答  
* 输    入         : 无
* 输    出         : 无
*******************************************************************************/
void iic_ack(void)
{IIC_SCL=0;IIC_SDA=0;	//SDA为低电平delay_10us(1);IIC_SCL=1;   //将SCL拉高delay_10us(1);IIC_SCL=0;  //在将SCL拉低
}

 产生NACK非应答 

/*******************************************************************************
* 函 数 名         : iic_nack
* 函数功能		   : 产生NACK非应答  
* 输    入         : 无
* 输    出         : 无
*******************************************************************************/
void iic_nack(void)
{IIC_SCL=0;IIC_SDA=1;	//SDA为高电平delay_10us(1);IIC_SCL=1;delay_10us(1);IIC_SCL=0;	
}

等待应答信号到来 

/*******************************************************************************
* 函 数 名         : iic_wait_ack
* 函数功能		   : 等待应答信号到来   
* 输    入         : 无
* 输    出         : 1,接收应答失败0,接收应答成功
*******************************************************************************/
u8 iic_wait_ack(void)
{u8 time_temp=0;IIC_SCL=1;delay_10us(1);while(IIC_SDA)	//等待SDA为低电平{time_temp++;if(time_temp>100)//超时则强制结束IIC通信{	iic_stop();return 1;	}			}IIC_SCL=0;return 0;	
}

4.I2C接收一个字节

释放总线

在51单片机中,SDA=1就是释放总线【相当于主持人把话筒给嘉宾】;在其他更高级的单片机(比如STM32)这里的处理还会不一样。【因为拉高,则可以拉低(接地);但是拉低了,但是无法拉高】

为什么SDA=1就是释放总线??是因为当51单片机把引脚拉高时,从设备可以选择再把引脚拉高或者拉低;但是当51单片机把这个引脚拉低(接地)后,从设备也没有办法把这个引脚拉高了。

unsigned char IIC_ReadByte()
{unsigned char a=0,dat=0;//释放总线IIC_SDA=1;  //起始和发送一个字节之后IIC_SCL都是0IIC_delay();//按道理来说这里应该有一个SCL=0的for(a=0;a<8;a++){IIC_SCL=1;//通知从设备我要开始读了,可以放bit数据到SDA了IIC_delay();dat<<=1; //读取的时候高位再前dat|=IIC_SDA;IIC_delay();IIC_SCL=0;// 拉低为下一个bit周期做准备ICC_delay();}return dat;
}

4.EEPROM读写测试

1.  器件寻址

(1)从器件的地址是由器件自身定义的,不同的从器件的地址的定义方式是不同的,要查具体的芯片数据手册来确定

(2)同一个I2C网络中只有一个主设备,但是从设备可以有多个。这多个从设备的地址不能相同。【硬件工程师必须保证这一点。因为从地址是不能通过软件设定的】

(3)A0,A1,A2----2的三次方=8【表示最多只能接8个EEPROM】

从CPU的角度来分析24C02的地址定义【如果不是从CPU角度看则得出结果不一样】

        从设备地址是:读地址:0xa1

                                 写地址:0xa0

2.24C02写高层时序

写操作时序

 

start-send_byte(从地址)--send_byte(字节地址)---send_byte(写入数据)

 字节写

/*******************************************************************************
* 函 数 名         : at24c02_write_one_byte
* 函数功能		   : 在AT24CXX指定地址写入一个数据
* 输    入         : addr:写入数据的目的地址 dat:要写入的数据
* 输    出         : 无
*******************************************************************************/
void at24c02_write_one_byte(u8 addr,u8 dat)
{				   	  	    																 iic_start();  iic_write_byte(0XA0);	//发送写命令,发送写器件地址	    	  iic_wait_ack();	//表示要接收应答 iic_write_byte(addr);	//发送写地址   iic_wait_ack(); //表示要接收应答 	 										  		   iic_write_byte(dat);	//发送字节    							   iic_wait_ack();  		    	   iic_stop();				//产生一个停止条件delay_ms(10);	 
}

页写

/*******************************************************************************
* 函 数 名         : at24c02_write_one_byte
* 函数功能		   : 在AT24CXX指定地址写入一个数据
* 输    入         : addr:写入数据的目的地址 dat:要写入的数据
* 输    出         : 无
*******************************************************************************/
void at24c02_write_one_byte(u8 addr,u8 dat[],u8 i)
{				   	u8 j;  	    																 iic_start();  iic_write_byte(0XA0);	//发送写命令,发送写器件地址	    	  iic_wait_ack();	//表示要接收应答 iic_write_byte(addr);	//发送写地址   iic_wait_ack(); //表示要接收应答 	 										  		   for(j=0;j<i;i++){iic_write_byte(dat[i]);	//发送字节    							   iic_wait_ack();}  		    	   iic_stop();				//产生一个停止条件delay_ms(10);	 
}

3. 24C02读高层时序

/*******************************************************************************
* 函 数 名         : at24c02_read_one_byte
* 函数功能		   : 在AT24CXX指定地址读出一个数据
* 输    入         : addr:开始读数的地址 
* 输    出         : 读到的数据
*******************************************************************************/
u8 at24c02_read_one_byte(u8 addr)
{				  u8 temp=0;		  	    																 iic_start();  iic_write_byte(0XA0);	//发送写命令	   iic_wait_ack(); iic_write_byte(addr); 	//发送写地址  iic_wait_ack();	    iic_start();  	 	   iic_write_byte(0XA1); 	//进入接收模式         			   iic_wait_ack();	 temp=iic_read_byte(0);	//读取字节		   iic_stop();				//产生一个停止条件    return temp;			//返回读取的数据
}

4.加入串口输出代码

/*******************************************************************************
* 实验名			  : EEPROM实验
* 使用的IO	    : 
* 实验效果      : 按K1保存显示的数据,按K2读取上次保存的数据,按K3显示数据加一,
*按K4显示数据清零。
*	注意					:由于P3.2口跟红外线共用,所以做按键实验时为了不让红外线影响实验效果,最好把红外线先
*取下来。
*
*********************************************************************************/
#include <reg51.h>
#include "at24c02.h"
#include "uart.h"void delay20ms(void)   //误差 -0.000000000005us
{unsigned char a,b,c;for(c=1;c>0;c--)for(b=222;b>0;b--)for(a=40;a>0;a--);
}/*******************************************************************************
* 函 数 名         : main
* 函数功能		   : 主函数
* 输    入         : 无
* 输    出         : 无
*******************************************************************************/void main()
{unsigned char i;unsigned char addr;	unsigned char src_data[] = "()ab#cde!fg1234567";	unsigned char buf[8] = "ABCDEFGH";uart_init();
/*for (i=0; i<128; i++){uart_send_byte(i);}while (1);
*/// 先随便找一堆数据,譬如"abcdefg1234567-_-*&%@/\"// 把这些写入EEPROM的特定地址中// 然后读EEROM的这些地址,读出后通过串口打印出来看是不是我们写入的uart_send_byte('%');addr = 0;for (i=0; i<8; i++){At24c02Write(addr, src_data[i]);delay20ms();addr++;}//先打印出buf//如果这里没有给buf初始化,则打印会出现问题for (i=0; i<8; i++){uart_send_byte(buf[i]);}//分割for (i=0; i<20; i++){uart_send_byte('-');}// 读出测试addr = 0;for (i=0; i<8; i++){buf[i] = At24c02Read(addr);delay20ms();addr++;}//将数据打印出来for (i=0; i<8; i++){uart_send_byte(buf[i]);}while (1);// 进一步测试// 先写入一些特定内容,然后关机断电;然后改代码为读出并打印显示看内容}		

问题分析

(1)通过调试发现程序跑飞了,经检测发现uart中没有关中断

(2)读出内容不对,怀疑是EEPROM经不起快速的连续读写,所以在读和写之间加入20ms的delay,测试后发现读写正确了

(3)定义了局部变量没有初始化,程序中直接去通过串口输出,结果导致程序

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.xdnf.cn/news/146687.html

如若内容造成侵权/违法违规/事实不符,请联系一条长河网进行投诉反馈,一经查实,立即删除!

相关文章

gitlab配置webhook限制提交注释

一、打开gitlab相关配置项 vim /etc/gitlab/gitlab.rb gitlab_shell[custom_hooks_dir] "/etc/gitlab/custom_hooks" 二、创建相关文件夹 mkdir -p /etc/gitlab/custom_hooks mkdir -p /etc/gitlab/custom_hooks/post-receive.d mkdir -p /etc/gitlab/custom_h…

xcode15下载ios17模拟器失败

升级到xcode15后需要安装ios17模拟器 但是在下载过程中会遇到报错 如下图这种 网上搜索了一下发现有人遇到过无法下载的问题&#xff0c;并且在apple官网也有人提出类似问题 https://developer.apple.com/forums/thread/737648 解决方案就是从https://developer.apple.com/do…

Halcon中灰度直方图的使用与学习

目录 第一步:当前打开窗口的显示灰度图或者mono图片第二步:激活后,我们可以去调整调整右边直方图灰阶值的中蓝色和红色竖线,获取左边图上的灰阶值的范围内的特征显示。第三步:插入代码:总结:它的直观目的,就是查看灰度的分布情况!灰度直方图,是我们经常使用,抓取不同…

Mendix中的依赖管理:npm和Maven的应用

序言 在传统java开发项目中&#xff0c;我们可以利用maven来管理jar包依赖&#xff0c;但在mendix项目开发Custom Java Action时&#xff0c;由于目录结构有一些差异&#xff0c;我们需要自行配置。同样的&#xff0c;在mendix项目开发Custom JavaScript Action时&#xff0c;…

HBase高阶(一)基础架构及存储原理

一、HBase介绍 简介 HBase是Hadoop生态系统中的一个分布式、面向列的开源数据库&#xff0c;具有高可伸缩性、高性能和强大的数据处理能力。广泛应用于处理大规模数据集。 HBase是一种稀疏的、分布式、持久的多维排序map 稀疏&#xff1a;对比关系型数据库和非关系型数据库&a…

【软件工程_UML—StartUML作图工具】startUML怎么画interface接口

StartUML作图工具怎么画interface接口 初试为圆形 &#xff0c;点击该接口在右下角的设置中->Format->Stereotype Display->Label&#xff0c;即可切换到想要的样式 其他方式 在class diagram下&#xff0c;左侧有interface图标&#xff0c;先鼠标左键选择&#xff0…

MNIST手写数字识别

MNIST是一个手写体数字的图片数据集&#xff0c;该数据集由美国国家标准与技术研究所&#xff08;National Institute of Standards and Technology (NIST)&#xff09;发起整理&#xff0c;其包含 60,000 张训练图像和 10,000 张测试图像&#xff0c;每张图片的尺寸为 28 x 28…

Explain执行计划字段解释说明---ID字段说明

ID字段说明 1、select查询的序列号,包含一组数字&#xff0c;表示查询中执行select子句或操作表的顺序 2、ID的三种情况 &#xff08;1&#xff09;id相同&#xff0c;执行顺序由上至下。 &#xff08;2&#xff09;id不同&#xff0c;如果是子查询&#xff0c;id的序号会…

NEON优化:性能优化经验总结

NEON优化&#xff1a;性能优化经验总结 1. 什么是 NEONArm Adv SIMD 历史 2. 寄存器3. NEON 命名方式4. 优化技巧5. 优化 NEON 代码(Armv7-A内容&#xff0c;但区别不大)5.1 优化 NEON 汇编代码5.1.1 Cortex-A 处理器之间的 NEON 管道差异5.1.2 内存访问优化 Reference: NEON优…

大数据Flink(九十四):DML:TopN 子句

文章目录 DML:TopN 子句 DML:TopN 子句 TopN 定义(支持 Batch\Streaming):TopN 其实就是对应到离线数仓中的 row_number(),可以使用 row_number() 对某一个分组的数据进行排序 应用场景

APP或小程序突然打开显示连接网络失败,内容一片空白的原因是,SSL证书到期啦,续签即可

由于我们使用的是https&#xff0c;所以SSL证书到期了&#xff0c;通过https进入读取内容的APP或网站或小程序就会打开后连接网络失败&#xff0c;出现空白&#xff0c;这是因为我们申请的SSL证书到期了&#xff0c;因为我们申请的证书有效期有时是1个月或3个月&#xff0c;到期…

BI神器Power Query(26)-- 使用PQ实现表格多列转换(2/3)

实例需求&#xff1a;原始表格包含多列属性数据,现在需要将不同属性分列展示在不同的行中&#xff0c;att1、att3、att5为一组&#xff0c;att2、att3、att6为另一组&#xff0c;数据如下所示。 更新表格数据 原始数据表&#xff1a; Col1Col2Att1Att2Att3Att4Att5Att6AAADD…

【AI视野·今日NLP 自然语言处理论文速览 第四十二期】Wed, 27 Sep 2023

AI视野今日CS.NLP 自然语言处理论文速览 Wed, 27 Sep 2023 Totally 50 papers &#x1f449;上期速览✈更多精彩请移步主页 Daily Computation and Language Papers Attention Satisfies: A Constraint-Satisfaction Lens on Factual Errors of Language Models Authors Mert …

Flutter开发之Package与Plugin

前言 在flutter中有包和插件两个概念&#xff0c;插件 (plugin) 是 package 的一种&#xff0c;全称是 plugin package&#xff0c;我们简称为 plugin&#xff0c;中文叫插件。包(Package)主要指对flutter相关功能的封装&#xff0c;类似于Android中的插件和iOS中的三方库。而插…

JVM机制理解与调优方案

作者&#xff1a;逍遥Sean 简介&#xff1a;一个主修Java的Web网站\游戏服务器后端开发者 主页&#xff1a;https://blog.csdn.net/Ureliable 觉得博主文章不错的话&#xff0c;可以三连支持一下~ 如有需要我的支持&#xff0c;请私信或评论留言&#xff01; 前言 很多Java开发…

2023年9月随笔之摩托车驾考

1. 回头看 日更坚持了273天。 读《SQL学习指南&#xff08;第3版&#xff09;》更新完成 读《高性能MySQL&#xff08;第4版&#xff09;》持续更新 学信息系统项目管理师第4版系列持续更新 9月码字81307字&#xff0c;日均码字数2710字&#xff0c;累计码字451704字&…

Node18.x基础使用总结(二)

Node18.x基础使用总结 1、Node.js模块化1.1、模块暴露数据1.2、引入模块 2、包管理工具2.1、npm2.2、npm的安装2.3、npm基本使用2.4、搜索包2.5、下载安装包2.6、生产环境与开发环境2.7、生产依赖与开发依赖2.8、全局安装2.9、修改windows执行策略2.10、安装包依赖2.11、安装指…

日期范围搜索

1.日期范围选择界面 <?xml version"1.0" encoding"utf-8"?> <ScrollViewandroid:layout_width"fill_parent"android:layout_height"fill_parent"xmlns:android"http://schemas.android.com/apk/res/android">…

桂院校园导航 静态项目 二次开发教程 1.2

Gitee代码仓库&#xff1a;桂院校园导航小程序 GitHub代码仓库&#xff1a;GLU-Campus-Guide 先 假装 大伙都成功安装了静态项目&#xff0c;并能在 微信开发者工具 和 手机 上正确运行。 接着就是 将项目 改成自己的学校。 代码里的注释我就不说明了&#xff0c;有提到 我…

嵌入式Linux应用开发-基础知识-第十九章驱动程序基石①

嵌入式Linux应用开发-基础知识-第十九章驱动程序基石① 第十九章 驱动程序基石①19.1 休眠与唤醒19.1.1 适用场景19.1.2 内核函数19.1.2.1 休眠函数19.1.2.2 唤醒函数 19.1.3 驱动框架19.1.4 编程19.1.4.1 驱动程序关键代码19.1.4.2 应用程序 19.1.5 上机实验19.1.6 使用环形缓…